2011年6月24日 星期五

Verilog in windows 環境建置

今天成功的在 windows 上編譯以及 show 出 waveform
紀錄一下過程跟使用到的軟體

因為 survey 的不多 我是認為我用到的軟體應該不是很 powerful
但是對於初學應該是夠用了

首先我用到的軟體是
Icarus Verilog for Windows
安裝的途中會問要不要順邊裝 GTKWave 波形顯示器
還有系統 Path 路徑 全部都 default 勾選


原則上這樣就安裝成功了
編輯 code 使用一般的筆記本就可以
或是可以用 Notepad++ (我平常寫 php 也是用這個)
這邊有教導怎麼在 Notepad++ 自動編輯 Icarus verilog code
http://www.wretch.cc/blog/henry0714/11669422
使用模組是 Nppexec

接下來找範例檔
畢竟是初學 什麼語法都還不會
http://mbmn.net/uer/tutorials/verilog-using-icarus/
http://iverilog.wikia.com/wiki/GTKWAVE
這兩個連結裡面有 counter 的寫法可以參考
http://en.wikipedia.org/wiki/Verilog
這個是 Verilog 的 wiki 有些簡單範例

隨便紀錄幾個教學網站
http://www.haifeng.idv.tw/leo/cgi-bin/topic.cgi?forum=75&topic=132
http://tw.myblog.yahoo.com/likeyoufacejang/article?mid=2451&prev=2453&next=2446

寫 Verilog 有個地方要注意
除了 module source code 外
還要額外建立 testbench 這種檔案來跑模擬 也可以順便產生波形檔
用 Nppexec 我不會多檔案同時編譯 一個個檔案編譯在編輯 testbench 的時候會出錯
因為有外部 module 檔案

所以用正常在 console 環境下編譯的方式編譯 code
大致語法如下
iverilog -o dsn counter_tb.v counter.v
vvp dsn
gtkwave test.vcd &

在 gui 的 GTKWave 裡面就可以把要看的線拉出來看 waveform






主要會有這篇是因為今天得知原來公司驗證環境是使用 SystemC
雖然是 lag 了 但是 SystemC 拿來當作 Verify 的工具還蠻強大的
詳細是不了解啦 畢竟本身都沒接觸過這些 也都很想學習 所以一個個來吧

5 則留言:

  1. 你好

    http://www.wretch.cc/blog/henry0714/11669422
    裡面有一個步驟要在 Execute 輸入
    cmd /c iverilog $(FILE_NAME) -o $(NAME_PART)
    cmd /c vvp $(NAME_PART)

    但是consol 訊息顯示
    cmd /c iverilog change.log -o change
    Process started >>>
    'iverilog' 不是內部或外部命令、可執行的程式或批次檔。
    <<< Process finished.
    cmd /c vvp change
    Process started >>>
    'vvp' 不是內部或外部命令、可執行的程式或批次檔。
    <<< Process finished.
    請問是哪裡出問題了?
    THANKS!

    回覆刪除
    回覆
    1. 小弟也碰到樓上一樣的問題
      但參考http://news.thomasy.tw/article/tw.bbs.kulu.EE_CompOrg/143#!article/tw.bbs.kulu.EE_CompOrg/143
      這一篇照做之後重開程式就成功了。

      刪除
    2. 請問要怎麼做才能解決此問題呢?在網上晃了好久還是無解樓上的連結也進不去。

      刪除
    3. 我也遇到同樣問題 有什麼方法可以解決嗎?

      刪除

開放匿名留言 請大家注意網路禮儀